Home
Quickstart Guide
Introduction RIO Academic RIO Application examples Your first RT app Your first FPGA app
Real-Time
Basic procedures System admin File system I/O monitor System controller architecture Timed loops Inter-process communication RT/Host communication RT/FPGA communication FPGA personalities Interrupts Datalogger (file I/O)
FPGA
Design flow Simulation Inter-process communication RT/host communication Derived clock domain IP blocks FPGA personality
Networking
Get connected Email Web services UDP TCP IP addresses
Site Map
Guides Code examples Procedures Tags LabVIEW block diagram elements Targets Communications All pages
Glossary How to use About
RIO Developer Essentials Guide for Academia
FPGA code example

"IP Integration" node for VHDL code reuse

Reuse existing and validated VHDL-based circuit functionality in the FPGA block diagram instead of developing new LabVIEW G code to implement the same functionality.

LabVIEW FPGA block diagram snippet: VHDL code for a 4-bit Johnson counter inserted into an IP Integration node

Use cases

Features

Keep in mind

LabVIEW block diagram elements

Locate these elements with "Quick Drop" (press Ctrl+Space and start typing the name); click on an icon to see more sample code that uses that element:

IP Integration node
Binary Counter
Single-Cycle Timed Loop

Example code

Expected results

https://youtu.be/XagadchTguI (0:46)

Developer walk-through

https://youtu.be/OVZe_BwT7Z8 (6:09)

Outline